News Technologies 02-22-2024 at 08:40 comment views icon

Intel announces a new roadmap: 14A process, Clearwater Forest processors, and more

author avatar
https://itc.ua/wp-content/uploads/2023/11/photo_2023-11-12_18-48-05-3-96x96.jpg *** https://itc.ua/wp-content/uploads/2023/11/photo_2023-11-12_18-48-05-3-96x96.jpg *** https://itc.ua/wp-content/uploads/2023/11/photo_2023-11-12_18-48-05-3-96x96.jpg

Andrii Rusanov

News writer

Intel announces a new roadmap: 14A process, Clearwater Forest processors, and more

At the IFS Direct Connect 2024 event, Intel unveiled a new roadmap that includes a new 14A process, the industry’s first to use High-NA EUV technology, and the production of its Clearwater Forest processor wafer on the 18A process. Intel is pursuing its own goal of launching five process technologies in four years (5N4Y). Microsoft also announced the creation of its own chips based on the Intel 18A process.

Intel changed the name of Intel Foundry Services to Intel Foundry. Intel’s production facility aims to become the world’s second largest «system fab» of chips by 2030. This includes not only manufacturing processors of various types, but also providing customers with packaging and connectivity solutions and even assistance with cooling solutions. The Intel Foundry will serve both external customers and internal Intel needs, with the goal of serving both areas equally with a sustainable supply chain.

Intel

Intel has announced new capabilities in the Intel Foundry Advanced System and Test (ASAT) portfolio that will help customers build their own AI chips using the full suite of Intel technologies.

Intel 7 and Intel 4 processes are already available on the market, and Intel 3 is ready for mass production. Processes 20A (2 nm) and 18A (1.8 nm) are ready to create industry-first chips with PowerVia power system, which provides optimized power routing for improved performance and transistor density, and the first with RibbonFET gate-all-around (GAA) technology, which provides better transistor density along with faster switching, but in a smaller area. The Intel 18A is already ready for design with 0.9 PDK from Intel EDA (design software) and partners, with the full 1.0 PDK coming in April-May.

Intel

The final design of Intel’s Clearwater Forest processors is ready for production. Clearwater Forest is the company’s first large-scale chip on the 18A process. The Clearwater Forest chip is made up of 18A CPU tiles, which are then connected together with an Intel 3 base crystal using 3D Foveros packaging technology.

Clearwater Forest is the first large-scale processor to utilize Universal Chiplet Interconnect Express (UCIe), a new industry interface for connecting chips together. UCIe is a key advancement that cannot be overlooked: the interface is supported by Intel, AMD, ARM, NVIDIA, TSMC, Samsung, and 120 other manufacturers to standardize direct connections between chipsets with open source design. This reduces costs and creates a wide ecosystem of proven combined chips from many manufacturers.

The Post-5N4Y diagram below shows the Intel 14A process. This will be the first process in the industry to utilize ASML’s High-NA EUV lithography tool. This will allow manufacturers to print smaller chips and, according to Intel, deliver higher performance. Intel is the first company in the industry to receive the advanced High-NA tool, while TSMC is said to have delayed using the tool until 2030 due to cost concerns.

Intel

Intel will also equip the Intel 7, Intel 3, and Intel 16 processes with new «line extensions». Intel plans to ship a new process every two years and then extend the lines every two years, similar to its old Tick-Tock model. These line extensions will be denoted by new suffixes.

For a broader presentation and some analysis — see Tom’s Hardware.


Loading comments...

Spelling error report

The following text will be sent to our editors: